ipv4 quadonly, make test program compile
[adns] / regress / case-srvbaddom.sys
2006-04-06 ianregression tests for srv, part 1