Fix an array-size bug in modmul, and add some tests for it.
[u/mdw/putty] / sshgssc.h
2010-05-19 simonPatch from Alejandro Sedeno, somewhat modified by me...